Vivado 】UCF到XDC之間的轉(zhuǎn)換

      網(wǎng)友投稿 1108 2025-03-31

      有時候會有這樣的需求,就是之前在ISE上的工程,現(xiàn)在需要用Vivado來操作,這樣其中的一部分工作就是將ISE上的時序約束文件UCF轉(zhuǎn)換為XDC文件。


      如下圖1所示為UCF與SDC的約束命令比較,可以發(fā)現(xiàn)常用的命令都能對應(yīng)上。

      (圖1)

      下面簡單舉例說明:

      Clock Period:

      UCF :

      NET "clka" TNM_NET = "clka";

      TIMESPEC "TS_clka" = PERIOD "clka" 13.330 ns HIGH 50.00%;

      XDC :

      create_clock -name clka -period 13.330 -waveform {0 6.665} [get_ports clka]

      Input Port:

      UCF :

      OFFSET = IN 8 BEFORE clka;

      XDC :

      set_input_delay -clock clka 2 [all_inputs]

      注: clock period = 10 ns.

      Output Port:

      UCF :

      OFFSET = OUT 12 AFTER clkc;

      【 Vivado 】UCF到XDC之間的轉(zhuǎn)換

      XDC :

      set_output_delay -clock clkc 8 [all_outputs]

      注:clock period = 20 ns.

      除了以上約束命令的差別外,UCF和XDC間的主要差別如下:

      1.??XDC是順序執(zhí)行約束,每個約束指令有優(yōu)先級

      2.??UCF一般約束nets對象,而XDC約束類型是pins, ports和cells對象

      3.??UCF約束默認(rèn)不對異步時鐘間路徑進(jìn)行時序分析,而XDC約束默認(rèn)所有時鐘是相關(guān)的,會分析所有路徑,可以通過設(shè)置時鐘組(set_clock_groups)取消時鐘間的相關(guān)性。

      下面介紹一下在Vivado中添加XDC文件以及加入約束命令的方法:

      首先在Project Manager中展開Constraints類,選擇Add Sources即可添加或者新建XDC約束文件,如下圖2所示。

      (圖2)

      選擇新建的XDC文件,雙擊打開,選擇左側(cè)的Templates,其中有XDC約束命令的實例,所有的約束命令都可以在其中找到,非常方便,如圖3、4所示。

      (圖3)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? (圖4)

      XDC約束文件可以在編譯綜合和實現(xiàn)過程中使用時,在綜合和實現(xiàn)設(shè)置中都能選擇需要的約束,如圖5。通過創(chuàng)建約束文件集,如圖6,設(shè)計者可以使能不同的約束集合測試FPGA設(shè)計的性能;在約束文件集中可以包含多個XDC約束文件,在FPGA設(shè)計比較復(fù)雜時,可以分模塊或者IP核約束,相應(yīng)的則有多個XDC約束文件,這樣設(shè)計和維護(hù)效率都能得到提高。

      (圖5)

      (圖6)

      單片機(jī)

      版權(quán)聲明:本文內(nèi)容由網(wǎng)絡(luò)用戶投稿,版權(quán)歸原作者所有,本站不擁有其著作權(quán),亦不承擔(dān)相應(yīng)法律責(zé)任。如果您發(fā)現(xiàn)本站中有涉嫌抄襲或描述失實的內(nèi)容,請聯(lián)系我們jiasou666@gmail.com 處理,核實后本網(wǎng)站將在24小時內(nèi)刪除侵權(quán)內(nèi)容。

      版權(quán)聲明:本文內(nèi)容由網(wǎng)絡(luò)用戶投稿,版權(quán)歸原作者所有,本站不擁有其著作權(quán),亦不承擔(dān)相應(yīng)法律責(zé)任。如果您發(fā)現(xiàn)本站中有涉嫌抄襲或描述失實的內(nèi)容,請聯(lián)系我們jiasou666@gmail.com 處理,核實后本網(wǎng)站將在24小時內(nèi)刪除侵權(quán)內(nèi)容。

      上一篇:家具定制管理系統(tǒng):個性化定制在智能時代的革命
      下一篇:5分鐘在ARM盒子上安裝完寶塔面板,不得不說的秘密
      相關(guān)文章
      亚洲av成人中文无码专区| 亚洲美女视频免费| 亚洲成年人在线观看| 亚洲一区视频在线播放 | 亚洲色四在线视频观看| 久久亚洲高清观看| 亚洲女同成av人片在线观看| 久久久精品国产亚洲成人满18免费网站| 国产成人亚洲综合a∨| 久久精品国产亚洲AV未满十八 | 亚洲熟女www一区二区三区| 久久亚洲国产最新网站| 亚洲综合色丁香婷婷六月图片 | 亚洲中文字幕无码亚洲成A人片| 亚洲色偷偷综合亚洲AV伊人蜜桃 | 亚洲精品免费视频| 久久亚洲美女精品国产精品| 亚洲黄色中文字幕| 亚洲国产日韩在线一区| 亚洲最大福利视频| 久久精品国产亚洲av天美18| 国产精品亚洲综合| 亚洲区小说区图片区| 国产精品亚洲高清一区二区| 亚洲人成影院在线无码按摩店| 亚洲gv猛男gv无码男同短文| 亚洲色图在线观看| 亚洲伦理一二三四| 亚洲色无码国产精品网站可下载| 久久精品国产亚洲AV| 亚洲国产中文字幕在线观看| 黑人大战亚洲人精品一区| 亚洲国产美女精品久久久久∴| 久久久亚洲欧洲日产国码农村| 1区1区3区4区产品亚洲| 亚洲av无码久久忘忧草| 亚洲高清乱码午夜电影网| 国产产在线精品亚洲AAVV| 国产亚洲精品成人a v小说| 亚洲大尺度无码无码专区| 亚洲天堂电影在线观看|